UltraEdit中高亮显示verilog HDL关键词

UltraEdit是一款功能强大的文本编辑器,可以编辑文字、HexASCII码,可以取代记事本,内建英文单字检查、C++ 及 VB 指令突显,可同时编辑多个文件,而且即使开启很大的文件速度也不会慢。是一个使用广泛的编辑器,但它并不直接支持HDL

1. 下载Verilog HDL语法高亮文件

Verilog HDL关键字将用不同色彩标出,便于识别。verilog HDL语法高亮文件下载地址为http://www.ultraedit.com/downloads/extras.html#wordfiles,下载verilog.UEWverilog2001.UEW文件

2. 修改文件

2.1 修改verilog语法高亮文件

把文件开头的L20改为L19

在文件最后加上以下代码

/Open Fold Strings = "begin""case"

/Close Fold Strings = "end""endcase"

/Indent Strings = "begin""case""fork" "if" "else"

/Unindent Strings = "end""endcase""join" "else" 

保存关闭。

 

2.2 修改verilog2001语法高亮文件

在文件最后加上以下代码

/Open Fold Strings = "begin""case"

/Close Fold Strings = "end""endcase"

/Indent Strings = "begin""case""fork" "if" "else"

/Unindent Strings = "end""endcase""join" "else" 

保存关闭。

3. 拷贝语法高亮文件到合适目录下

把语法高亮文件拷贝到D:\UltraEdit\wordfilesUltraEdit 15.0以上版本)目录下。

4. 设置语法高亮文件路径

高级配置编辑器显示语法高亮,定位文档语法高亮的完整目录后点确定。

原文链接: https://www.cnblogs.com/zcf287/archive/2012/11/12/2766699.html

欢迎关注

微信关注下方公众号,第一时间获取干货硬货;公众号内回复【pdf】免费获取数百本计算机经典书籍

    UltraEdit中高亮显示verilog HDL关键词

原创文章受到原创版权保护。转载请注明出处:https://www.ccppcoding.com/archives/69092

非原创文章文中已经注明原地址,如有侵权,联系删除

关注公众号【高性能架构探索】,第一时间获取最新文章

转载文章受原作者版权保护。转载请注明原作者出处!

(0)
上一篇 2023年2月9日 下午1:38
下一篇 2023年2月9日 下午1:39

相关推荐