[计算机硬件] Chisel 安装

Chisel Installation

Install jdk

仅适用于OS: Ubuntu 16

首先要安装jdk。

sudo apt-get install openjdk-8-jdk

 

Install sbt

Ubuntu和其他基于Debian的发行版使用DEB格式,但通常你不从本地的DEB文件安装软件。相反,他们由程序包管理器安装,通过命令行(如apt-get,aptitude)或图形用户界面 (如Synaptic)。 从终端运行下面的命令安装sbt(你需要超级用户权限,因此需要sudo)。

echo "deb https://dl.bintray.com/sbt/debian /" | sudo tee -a /etc/apt/sources.list.d/sbt.list
sudo apt-key adv --keyserver hkp://keyserver.ubuntu.com:80 –recv 2EE0EA64E40A89B84B2DF73499E82A75642AC823
sudo apt-get update
sudo apt-get install sbt

软件包管理器将检查若干个提供安装软件包的配置存储库。sbt 二进制文件发布到 Bintray,而Bintray 方便地提供了APT资源库。你只需要将存储库添加到你的软件包管理器将检查的地方。 一旦安装了sbt,你会能够在aptitude或Synaptic的包缓存更新后管理了。你也应该能够看到添加的存储库,在底部的System Settings -> Software & Updates -> Other Software

 [计算机硬件] Chisel 安装

Install Verilator

安装Verilator,可以将Verilog转换成C++用于仿真。Chisel3 推荐使用版本3.992.

  1. 安装一些必要依赖(如果没有的话)

  sudo apt-get install git make autoconf g++ flex bison

  2. Clone the verilator repo

git clone http://git.veripool.org/git/verilator

  3. 在repo中检查合适的版本3.992

 

git pull

git checkout verilator_3_922

 

  4. 在verilator目录中编译并安装。(注意编译过程中会有提示做check,可以按提示步骤来)

 

unset VERILATOR_ROOT # For bash, unsetenv for csh

autoconf # Create ./configure script

./configure

make

sudo make install

 

 

Install chisel-tutorial

Repo

$ git clone https://github.com/ucb-bar/chisel-tutorial.git
$ cd chisel-tutorial
$ git fetch origin
$ git checkout release

 

测试系统保证成功安装sbt

sbt run

该过程会生成并测试Hello模块(always outputs the number 42 (aka 0x2a))。

可以在命令窗口最后一行看到 [success] or [successful],并且有PASSED在倒数几行。如果是第一次执行该命令,sbt会自动下载合适的chisel3版本,包括测试工具和scala,并放在cache中(通常是在~/.Ivy2目录下)

原文链接: https://www.cnblogs.com/George-Okelly1995/p/9861049.html

欢迎关注

微信关注下方公众号,第一时间获取干货硬货;公众号内回复【pdf】免费获取数百本计算机经典书籍

    [计算机硬件] Chisel 安装

原创文章受到原创版权保护。转载请注明出处:https://www.ccppcoding.com/archives/283917

非原创文章文中已经注明原地址,如有侵权,联系删除

关注公众号【高性能架构探索】,第一时间获取最新文章

转载文章受原作者版权保护。转载请注明原作者出处!

(0)
上一篇 2023年2月15日 上午7:29
下一篇 2023年2月15日 上午7:30

相关推荐